CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - dds vhdl

搜索资源列表

  1. learn_dds.基于quartus ii 9.0的简易dds波形发生器

    2下载:
  2. 基于quartus ii 9.0的简易dds波形发生器,可以产生正弦,方波,三角波,可变幅,可变频。非常适合学习使用,使用时请按自己的芯片和引脚设置,Quartus ii 9.0 Based on dds simple waveform generator can produce sine, square, triangle wave can be amplitude, frequency can be. Very suitable for learning to use, when used
  3. 所属分类:VHDL编程

    • 发布日期:2016-04-29
    • 文件大小:732127
    • 提供者:陈东旭
  1. FPGA.rar

    0下载:
  2. FPGA,vhdl语言的学习资料; FPGA的简单设计 dds的设计,FPGA, vhdl language learning materials FPGA design of a simple design dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2097810
    • 提供者:wade
  1. DDS.rar

    4下载:
  2. FPGA控制AD9854的源文件,verilog,附有简单文档。,FPGA to control the AD9854 source file, verilog, with a simple document.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-08
    • 文件大小:819916
    • 提供者:柴佳
  1. FPGA-DDS-FM.rar

    2下载:
  2. DDS 调频信号发生器框图设计原理,有仿真测试结果,DDS signal generator FM Design Principle diagram
  3. 所属分类:Communication

    • 发布日期:2016-01-26
    • 文件大小:69719
    • 提供者:chenjiwei
  1. DDS

    0下载:
  2. 我们小组共了一个月做的DDS,程序核心用的是Verilog HDL,有仿真波形,输出正弦波,方波,及三角波,步进可调.频率范围1HZ--10MHZ-Our group for a month to do a total of DDS, the procedure is used in the core of Verilog HDL, there are simulation waveform, the output sine wave, square wave and triangular wa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:117375
    • 提供者:tiancheng
  1. dds

    1下载:
  2. 基于FPGA的DDS设计,本程序采用verilog HDL语言编写,使用DDS+Pll倍频-The DDS-based FPGA design, the procedures used verilog HDL language, the use of DDS+ Pll frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-29
    • 文件大小:190930
    • 提供者:赵一
  1. dds

    0下载:
  2. 基于FPGA的双路可移相任意波形发生器 Altera中国大学生电子设计文章竞赛获奖作品刊登-FPGA-based dual phase shifter can be arbitrary waveform generator Altera China Undergraduate Electronic Design Contest winning entries published articles
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:1696262
    • 提供者:姜兆刚
  1. dds_vhdl

    0下载:
  2. dds的vhdl实现,主要包括正弦波、三角波和锯齿波的产生-dds achieve the VHDL, including sine, triangle wave, and the selection ramp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:785
    • 提供者:xxx
  1. 16

    0下载:
  2. dds应用主要产生正弦波形,可以自己稍加修改即可-dds applications arising primarily from the sinusoidal waveform, can be slightly modified
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:4632
    • 提供者:冯刚
  1. 200741691252

    1下载:
  2. dds源代码,vhdl程序,函数信号发生器。-dds source code, vhdl procedure, function signal generator.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:4859
    • 提供者:吴飞
  1. dds

    0下载:
  2. 实现数字频率合成。能产生任意频率的正弦信号、方波信号、梯形波等,并且能对信号的频率进行测量。-Digital frequency synthesizer. Can generate any frequency sinusoidal signal, square-wave signal, a trapezoidal wave, etc., and can measure the frequency of the signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:278374
    • 提供者:吴健
  1. dds

    0下载:
  2. 这是一个用vhdl语言实现dds的例子,已在quartusII里调通并可以下载到实验箱上,功能正确-This is a use of VHDL language dds example, has been in tune quartusII pass and can be downloaded to the experimental box, the function correctly
  3. 所属分类:OS Develop

    • 发布日期:2017-03-29
    • 文件大小:331839
    • 提供者:leezhihui
  1. 07_DDSmokuai

    0下载:
  2. DDS模块 EWB Quartus2编译 电子综合设计试验箱程序-DDS module EWB Quartus2 chamber compile electronic integrated design process
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:76541
    • 提供者:罗健
  1. FPGA_signal_general

    0下载:
  2. 摘 要:介绍了直接数字频率合成 (DDS) 技术的基本原理,给出了基于Altera公司FPGA器件的一个三相正弦信号发生器的设计方案,同时给出了其软件程序和仿真结果。仿真结果表明:该方法生成的三相正弦信号具有对称性好、波形失真小、频率精度高等优点,且输出频率可调。 关键词:直接数字频率合成;现场可编程门阵列;FPGA;三相正弦信号-Abstract: Direct Digital Synthesis (DDS) technology, the basic principles are giv
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:102056
    • 提供者:赵文
  1. dp_test

    0下载:
  2. 本程序是用VHDL语言编写的,其中包括并口通讯,DDS电机调速,编码器信号处理等,对研究这方面的工程人员有一定参考作用-This procedure is used VHDL language, including the parallel port communication, DDS motor, encoder signal processing and so on, to look at this area of engineering staff have a certain refe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:602791
    • 提供者:maolianghu
  1. dds

    0下载:
  2. dds移相信号发生器 VHDL语言代码-dds
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:383605
    • 提供者:hanoi
  1. example10

    0下载:
  2. :正弦波发生器例程,包括了直接数字频率合成(DDS)的原理以及如何应用CPLD产生频率可控频率的正弦信号。-: Sine wave generator routine, including a direct digital synthesizer (DDS), as well as the application of the principle of frequency control CPLD generated sinusoidal signal frequency.
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:57371
    • 提供者:周平
  1. DDS-baseddesignofthesinusoidalsignalgenerator

    0下载:
  2. 本设计采用AT89552单片机,辅以必要的模拟电路,实现了一个基于直接数字频率合成技术(DDS)的正弦谊号发生器。设计中采用DDS芯片AD9850产生频率1KHZ~10MHZ范围内正弦波,采用功放AD811控制输出电压幅度, 由单片机AT89S52控制调节步进频率1HZ。在此基础上,用模拟乘法器MC1496实现了正弦调制信号频率为1KHZ的模拟相度调制信号;用FPGA芯片产生二进制NRZ码,与AD9850结合实现相移键控PSK、幅移键控ASK、频移镇键FSK。-AT89552 the singl
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:209208
    • 提供者:何蓓
  1. dds

    0下载:
  2. DDS数字频率合成器,使用很方便,整个工程下载,vhdl语言-DDS digital frequency synthesizer, using the very convenient to download the whole project, vhdl language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:656667
    • 提供者:陈星
  1. AD9854

    0下载:
  2. AT89C52控制AD9854的DDS信号发生器程序 其中包括各种分类子程序-AT89C52 control of the DDS signal generator AD9854 including various classification procedures Subroutine
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:6321
    • 提供者:zebftu
« 1 2 34 5 6 7 8 9 10 ... 17 »
搜珍网 www.dssz.com